Publications

Summary: IEEE TCAD (66), DAC (53), ICCAD (41), etc.

Journal & Conference Papers

Selected Preprints

  • “HDLdebugger: Streamlining HDL debugging with Large Language Models”. (arXiv)

  • “Learning-driven Physically-aware Large-scale Circuit Gate Sizing”. (arXiv)

  • “The Dawn of AI-Native EDA: Promises and Challenges of Large Circuit Models”. (arXiv)

  • “BetterV: Controlled Verilog Generation with Discriminative Guidance”. (arXiv)

  • “SoLA: Solver-Layer Adaption of LLM for Better Logic Reasoning”. (arXiv)

  • “Parameter-Efficient Sparsity Crafting from Dense to Mixture-of-Experts for Instruction Tuning on General Tasks”. (arXiv)

  • “On the Evaluation of Generative Models in Distributed Learning Tasks”. (arXiv)

Accepted

2024

  • [C227] Hongduo Liu, Peng Xu, Yuan Pu, Lihao Yin, Hui-Ling Zhen, Mingxuan Yuan, Tsung-Yi Ho, Bei Yu, “NeuroSelect: Learning to Select Clauses in SAT Solvers”, ACM/IEEE Design Automation Conference (DAC), San Francisco, Jun. 23–27, 2024.

  • [C226] Guojin Chen, Hongquan He, Peng Xu, Hao Geng, Bei Yu, “Efficient Bilevel Source Mask Optimization”, ACM/IEEE Design Automation Conference (DAC), San Francisco, Jun. 23–27, 2024.

  • [C225] Xinyun Zhang, Su Zheng, Guojin Chen, Binwu Zhu, Hong Xu, Bei Yu, “Fracturing-aware Curvilinear ILT via Circular E-beam Mask Writer”, ACM/IEEE Design Automation Conference (DAC), San Francisco, Jun. 23–27, 2024.

  • [C224] Xinyun Zhang, Binwu Zhu, Fangzhou Liu, Ziyi Wang, Peng Xu, Hong Xu, Bei Yu, “Disentangle, Align and Generalize: Learning A Timing Predictor from Different Technology Nodes”, ACM/IEEE Design Automation Conference (DAC), San Francisco, Jun. 23–27, 2024.

  • [C223] Zixiao Wang, Yunheng Shen, Xufeng Yao, Wenqian Zhao, Yang Bai, Farzan Farnia, Bei Yu, “ChatPattern: Layout Pattern Customization via Natural Language”, ACM/IEEE Design Automation Conference (DAC), San Francisco, Jun. 23–27, 2024.

  • [C222] Peng Xu, Guojin Chen, Keren Zhu, Tinghuan Chen, Tsung-Yi Ho, Bei Yu, “Performance-driven Analog Routing via Heterogeneous 3DGNN and Potential Relaxation”, ACM/IEEE Design Automation Conference (DAC), San Francisco, Jun. 23–27, 2024.

  • [C221] Su Zheng, Yuzhe Ma, Bei Yu, Martin Wong, “EMOGen: Enhancing Mask Optimization via Pattern Generation”, ACM/IEEE Design Automation Conference (DAC), San Francisco, Jun. 23–27, 2024.

  • [C220] Mingjun Li, Pengjia Li, Shuo Yin, Shixin Chen, Beichen Li, Chong Tong, Jianlei Yang, Tinghuan Chen, Bei Yu, “WinoGen: A Highly Configurable Winograd Convolution IP Generator for Efficient CNN Acceleration on FPGA”, ACM/IEEE Design Automation Conference (DAC), San Francisco, Jun. 23–27, 2024.

  • [C219] Yuan Pu, Fangzhou Liu, Yu Zhang, Zhuolun He, Kai-Yuan Chao, Yibo Lin, Bei Yu, “Lesyn: Placement-aware Logic Resynthesis for Non-Integer Multiple-Cell-Height Designs”, ACM/IEEE Design Automation Conference (DAC), San Francisco, Jun. 23–27, 2024.

  • [C218] Jiaxi Jiang, Lancheng Zou, Wenqian Zhao, Zhuolun He, Tinghuan Chen, Bei Yu, “PDRC: Package Design Rule Checking via GPU-Accelerated Geometric Intersection Algorithms for Non-Manhattan Geometry”, ACM/IEEE Design Automation Conference (DAC), San Francisco, Jun. 23–27, 2024.

  • [C217] Xiaoxiao Liang, Haoyu Yang, Kang Liu, Bei Yu, Yuzhe Ma, “CAMO: Correlation-Aware Mask Optimization with Modulated Reinforcement Learning”, ACM/IEEE Design Automation Conference (DAC), San Francisco, Jun. 23–27, 2024.

  • [C216] Donger Luo, Qi Sun, Xinheng Li, Chen Bai, Bei Yu, Hao Geng, “Knowing The Spec to Explore The Design via Transformed Bayesian Optimization”, ACM/IEEE Design Automation Conference (DAC), San Francisco, Jun. 23–27, 2024.

  • [C215] Wan Luan Lee, Dian-Lun Lin, Tsung-Wei Huang, Shui Jiang, Tsung-Yi Ho, Yibo Lin, Bei Yu, “G-kway: Multilevel GPU-Accelerated k-way Graph Partitioner”, ACM/IEEE Design Automation Conference (DAC), San Francisco, Jun. 23–27, 2024.

  • [C214] Yinuo Bai, Enxin Yi, Wei Xing, Bei Yu, Zhou Jin, “Unleashing the Potential of AQFP Logic Placement via Entanglement Entropy and Projection”, ACM/IEEE Design Automation Conference (DAC), San Francisco, Jun. 23–27, 2024.

  • [C213] Tong Qiao, Jianlei Yang, Yingjie Qi, Ao Zhou, Chen Bai, Bei Yu, Weisheng Zhao, Chunming Hu, “GNNavigator: Towards Adaptive Training of Graph Neural Networks via Automatic Guideline Exploration”, ACM/IEEE Design Automation Conference (DAC), San Francisco, Jun. 23–27, 2024.

  • [C212] Shuyuan Sun, Fan Yang, Bei Yu, Li Shang, Dian Zhou, Xuan Zeng, “Efficient ILT via Multigrid-Schwartz Method”, ACM/IEEE Design Automation Conference (DAC), San Francisco, Jun. 23–27, 2024.

  • [C211] Weiguo Li, Zhipeng Huang, Bei Yu, Wenxing Zhu, Xingquan Li, “Toward Controllable Hierarchical Clock Tree Synthesis with Skew-Latency-Load Tree”, ACM/IEEE Design Automation Conference (DAC), San Francisco, Jun. 23–27, 2024.

  • [C210] Jiequan Cui, Beier Zhu, Xin Wen, Xiaojuan Qi, Bei Yu, Hanwang Zhang, “Classes Are Not Equal: An Empirical Study on Image Recognition Fairness”, IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR), Seattle, Jun. 17–21, 2024. (arXiv)

  • [C209] Su Zheng, Gang Xiao, Ge Yan, Meng Dong, Hong Chen, Yuzhe Ma, Bei Yu, Martin Wong, “Model-based OPC Extension in OpenILT”, International Symposium of EDA (ISEDA), May 10–13, 2024.

  • [C208] Fangzhou Liu, Zehua Pei, Ziyang Yu, Haisheng Zheng, Zhuolun He, Tinghuan Chen, Bei Yu, “CBTune: Contextual Bandit Tuning for Logic Synthesis”, IEEE/ACM Proceedings Design, Automation and Test in Europe (DATE), Valencia, Spain, Mar. 25–27, 2024. (paper) (slides) (poster)

  • [C207] Hongduo Liu, Peiyu Liao, Junhua Huang, Hui-Ling Zhen, Mingxuan Yuan, Tsung-Yi Ho, Bei Yu, “Parallel Grobner Basis Rewriting and Memory Optimization for Efficient Multiplier Verification”, IEEE/ACM Proceedings Design, Automation and Test in Europe (DATE), Valencia, Spain, Mar. 25–27, 2024. (paper) (slides) (poster)

  • [C201] Guojin Chen, Hao Geng, Bei Yu, David Z. Pan, “Open-Source Differentiable Lithography Imaging Framework”, SPIE Advanced Lithography + Patterning, San Jose, Feb. 25–29, 2024. (paper) (slides) (code)

  • [C200] Chen Bai, Jianwang Zhai, Yuzhe Ma, Bei Yu, Martin D.F. Wong, “Towards Automated RISC-V Microarchitecture Design with Reinforcement Learning”, AAAI Conference on Artificial Intelligence (AAAI), Vancouver, Feb. 20–27, 2024. (paper) (slides) (poster)

  • [C199] Xufeng Yao, Fanbin Lu, Yuechen Zhang, Xinyun Zhang, Wenqian Zhao, Bei Yu, “Progressively Knowledge Distillation via Re-parameterizing Diffusion Reverse Process”, AAAI Conference on Artificial Intelligence (AAAI), Vancouver, Feb. 20–27, 2024. (paper) (slides) (poster)

  • [C198] Haoyuan Wu, Xinyun Zhang, Peng Xu, Peiyu Liao, Xufeng Yao, Bei Yu, “p-Laplacian Adaptation for Generative Pre-trained Vision-Language Models”, AAAI Conference on Artificial Intelligence (AAAI), Vancouver, Feb. 20–27, 2024. (paper) (slides) (poster) (Oral)

  • [C197] Yu Zhang, Wei Lin, Sisi Chen, Qingyu Song, Jiaxun Lu, Yunfeng Shao, Bei Yu, Hong Xu, “Fed2Com: Towards Efficient Compression in Federated Learning”, IEEE International Conference on Computing, Networking and Communications (ICNC), Hawaii, Feb. 19–22, 2024.

  • [C196] Xingquan Li, Zengrong Huang, Simin Tao, Zhipeng Huang, Chunan Zhuang, Hao Wang, Yifan Li, Yihang Qiu, Guojie Luo, Huawei Li, Haihua Shen, Mingyu Chen, Dongbo Bu, Wenxing Zhu, Ye Cai, Xiaoming Xiong, Ying Jiang, Yi Heng, Peng Zhang, Bei Yu, Biwei Xie, Yungang Bao, “iEDA: An Open-source infrastructure of EDA”, IEEE/ACM Asian and South Pacific Design Automation Conference (ASPDAC), South Korea, Jan. 22–25, 2024. (paper) (slides) (Invited Paper)

  • [C195] Xingquan Li, Simin Tao, Shijian Chen, Zhisheng Zeng, Zhipeng Huang, Hongxi Wu, Weiguo Li1, Zengrong Huang, Liwei Ni, Xueyan Zhao, He Liu, Shuaiying Long, Ruizhi Liu, Xiaoze Lin, Bo Yang, Fuxing Huang, Zonglin Yang, Yihang Qiu, Zheqing Shao, Jikang Liu, Yuyao Liang, Biwei Xie, Yungang Bao, Bei Yu, “iPD: An Open-source intelligent Physical Design Tool Chain”, IEEE/ACM Asian and South Pacific Design Automation Conference (ASPDAC), South Korea, Jan. 22–25, 2024. (paper) (slides) (Invited Paper)


2023

  • [C179] Su Zheng, Bei Yu, Martin Wong, “OpenILT: An Open Source Inverse Lithography Technique Framework”, IEEE International Conference on ASIC (ASICON), Nanjing, Oct. 24–27, 2023. (paper) (slides) (Invited Paper)


2022


  • [J71] Martin Rapp, Hussam Amrouch, Yibo Lin, Bei Yu, David Z. Pan, Marilyn Wolf, Jorg Henkel, “MLCAD: A Survey of Research in Machine Learning for CAD”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 41, no. 10, pp. 3162–3181, 2022. (paper) (Keynote Paper)

  • [J64] Yibo Lin, Xiaohan Gao, Tinghuan Chen, Bei Yu, “Machine learning for digital circuit backend design”, Micro/nano Electronics and Intelligent Manufacturing, vol. 2, no. 3, 2022. (in Chinese) (paper)

  • [J61] Ran Chen, Wei Zhong, Haoyu Yang, Hao Geng, Fan Yang, Xuan Zeng, Bei Yu, “Faster Region-based Hotspot Detection”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 41, no. 3, pp. 669–680, 2022. (code) (paper)

2021

  • [C118] Tinghuan Chen, Qi Sun, Bei Yu, “Machine Learning in Nanometer AMS Design for Reliability”, IEEE International Conference on ASIC (ASICON), Kunming, China, Oct. 26–29, 2021. (paper) (slides) (Invited Paper)

  • [C116] Wei Li, Guojin Chen, Haoyu Yang, Ran Chen, Bei Yu, “Learning Point Clouds in EDA”, ACM International Symposium on Physical Design (ISPD), Mar. 21–Mar. 24, 2021. (paper) (slides) (Invited Paper)

  • [C111] Hongjia Li, Mengshu Sun, Tianyun Zhang, Olivia Chen, Nobuyuki Yoshikawa, Bei Yu, Yanzhi Wang, Yibo Lin, “Towards AQFP-Capable Physical Design Automation”, IEEE/ACM Proceedings Design, Automation and Test in Europe (DATE), Feb. 01–05, 2021. (paper)


  • [J58] Wei Li, Yuzhe Ma, Qi Sun, Lu Zhang, Yibo Lin, Iris Hui-Ru Jiang, Bei Yu, David Z. Pan, “OpenMPL: An Open Source Layout Decomposer”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), vol. 40, no. 11, pp. 2331–2344, 2021. (paper)

  • [J56] Guyue Huang, Jingbo Hu, Yifan He, Jialong Liu, Mingyuan Ma, Zhaoyang Shen, Juejian Wu, Yuanfan Xu, Hengrui Zhang, Kai Zhong, Xuefei Ning, Yuzhe Ma, Haoyu Yang, Bei Yu, Huazhong Yang, Yu Wang, “Machine Learning for Electronic Design Automation: A Survey”, ACM Transactions on Design Automation of Electronic Systems (TODAES), vol. 25, no. 5, 2021. (paper)

2020


2019

  • [C80] Bentian Jiang, Xiaopeng Zhang, Ran Chen, Gengjie Chen, Peishan Tu, Wei Li, Evangeline F. Y. Young, Bei Yu, “FIT: Fill Insertion Considering Timing”, ACM/IEEE Design Automation Conference (DAC), pp. 221:1–221:6, Las Vegas, NV, June 2–6, 2019. (paper) (slides) (poster)


2018


2017

  • [C57] Hang Zhang, Fengyuan Zhu, Haocheng Li, Evangeline F. Y. Young, Bei Yu, “Bilinear Lithography Hotspot Detection”, ACM International Symposium on Physical Design (ISPD), pp. 7–14, Portland, OR, Mar. 19–22, 2017. (paper) (Best Paper Award)


2016


2015


2014

2013


2012

  • [C13] Bei Yu, Jhih-Rong Gao, Duo Ding, Yongchan Ban, Jae-Seok Yang, Kun Yuan, Minsik Cho, David Z. Pan, “Dealing with IC Manufacturability in Extreme Scaling”, IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp. 240–242, San Jose, Nov. 5–8, 2012. (paper) (Embedded Tutorial paper)


2011

2010

2009



Books / Book Chapters

 

[B4] Haoyu Yang, Yibo Lin, Bei Yu, “Machine Learning for Mask Synthesis and Verification”, in Machine Learning Applications in Electronic Design Automation, Mark Ren eds., Springer, 2022. (paper)

 

[B3] Shiyan Hu, Bei Yu, “Big Data Analytics for Cyber-Physical Systems”, Springer, 2020.

 

[B2] Bei Yu, David Z. Pan, “Design for Manufacturability with Advanced Lithography”, Springer, 2016.

 

[B1] Bei Yu, David Z. Pan, “Layout Decomposition for Triple Patterning”, in Encyclopedia of Algorithms, M.-Y. Kao eds., Springer, 2015. (paper)


Dissertation

Newsletters

  • [N2] Bei Yu, Gilda Garreton, David Z. Pan, “Layout Compliance for Triple Patterning Lithography: An Iterative Approach”, SPIE Newsroom.